2008年12月2日星期二

set_max_delay的使用总结

DC在逻辑综合的时候,对于时序约束,默认都是基于单cycle的方法。set_max_delay可以对时序路径的延时设定一个特定的值进行直观的约束,这个值可以大于一个cycle,所以说set_max_delay设定的路径就属于一个时序例外(timing exception),对于这类路径可以通过report_timing_requirement来报告出来。在使用set_max_delay的时候,尤其需要注意的是约束的对象一定要是一条合法的时序路径,所谓合法的路径,就是startpoint和endpoint都必须合法,如果约束的对象只是一条合法时序路径的一个部分,那么将会创建出新的startpoint和endpoint,由于这些新的startpoint和endpoint都没有其他的约束路径,因此会忽略该部分路径,导致分析的错误。

没有评论: