2008年12月26日星期五

set_ideal_network 和set_dont_touch_network的使用总结

set_ideal_network用来对port,pin,net来设置ideal_network属性,所谓的ideal_network属性就是0电阻0电容+所有的cell和net都dont_touch。因此就会0转换时间,0延时,综合优化的时候不会对路径的cell和net进行优化。

注意:1.对net进行设置的时候,必须要带上no_propagate的属性,否则是加不上去的。对port和pin可以加上带也可以不带no_propagate。2.当ideal_network经过一个组合logic的时候,只有当所有的输入都具有ideal属性,并且至少有一半具有传播的属性,即不带-no_propagate,输出端才有ideal_net属性。3.在定义时钟的时候,默认是具有这个属性的,但是要注意的是,当时钟网络上具有多输入组合逻辑的时候,时钟的ideal_net属性是不会穿过这个组合逻辑的。比如一个2in1 mux,输出端就不具备ideal_net属性,延时就会计算进去。所以定义时钟的时候最好还是加上这条命令。

set_dont_touch_network用来对port,pin,clock来设置dont_touch_network的属性。所谓的dont_touch_network属性就是在优化的时候,不会对原有的器件进行替换。

1 条评论:

pp 说...

加个链接:)
大牛:)

http://bb2hh.blogbus.com/

可以转贴吧:)
保留版权