2008年12月30日星期二

VCS2008在ubuntu上的安装

今天不上班,在家休息之余,装了个VCS2008,跑跑小仿真玩玩。在公司里仿真器都是采用cadence的ldv5.1,版本比较老,对systemverilog的支持比较弱,加上目前还找不到ius的仿真器,于是还是搞俺的VCS吧。

ubuntu版本:8.04
VCS版本:2008.12

安装过程采用synopsys推荐的标准安装方法,采用synopsys installer V1.8安装tar文件,然后就是设置环境变量,由于之前安装DC的时候license生成,并且指定好,所以这一步可以简化,只需要指定VCS_HOME环境变量。最后就是指定路径哈,比较简单。这些做完之后,试了一下在console中敲入vcs tb.v,结果通常会让人感到郁闷。
1.首先是一个linux内核的warning,实际上不影响最后的功能,为了每次不报告出warning,还是设置了一下环境变量:setenv VCS_ARCH_OVERRIDE linux
2.编译的时候stdio.h的文件找不到。查看了一下/usr/include内容,确实是没有该头文件,安装下面文件即可 sudo apt-get install build-essential
3.在链接文件的时候,提示lz错误,应该是缺少libz.a或者是libz.so文件,从网上download一个zlib的文件,tar解压后,使用./configuire来配置,并且make安装。安装完毕后可以在/usr/local/lib里发现多了一个libz.a文件,正是所需要的。

一切完毕之后编译链接都过了,产生一个simv文件,然后调用simv -gui,ok~,一切搞定,目前还没有发现其他的问题。

没有评论: